数字逻辑 :人民邮电出版社

更新时间:2024-09-21 15:20

数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。

简述

布尔代数只使用1(真)和0(假)两个数,当二进制的加法、乘法等运算与布尔代数的运算建立了对应关系后,就可以用逻辑部件来实现二进制数据的加法、乘法等各种运算。

基本内容

数制和编码

逻辑代数基础

组合逻辑电路的分析与设计

同步时序逻辑电路分析

异步时序逻辑电路的分析与设计

可编程逻辑器件PLD

数字系统设计

研究内容

主要用以研究有两个离散状态的开关器件所构成的数字电路。能对电路的输入与输出之间的关系提供理想描述,研究这种描述的特性和电路的实现,并探讨将数字电路或数字模块互连起来完成特定逻辑功能的理论和方法。具有两个或多个离散状态的开关器件或单元是:可断开和闭合的开关或继电器的触点;能正偏和反偏的整流二极管;能饱和和截止的开关电子管或开关晶体管;能在两个饱和方向中任意一个方向上磁化的磁心。具有二个离散状态的开关器件是最常见的。数字逻辑不考虑特殊条件下动作或稳定的物理现象和从一个状态过渡到另一个状态的细节。

数字逻辑

数字逻辑的部分理论建立在数理逻辑,特别是布尔代数和时序机的理论基础上。

数字逻辑可分为组合逻辑和时序逻辑。在一个逻辑系统中,输出结果仅取决于当前各输入值的称组合逻辑;输出结果既由当前各输入值,又由过去的输入值来决定的称时序逻辑。组合逻辑不包含存储元件,时序逻辑至少包含一个存储元件。

数字逻辑的应用范围极广,日常生活的决策过程是组合逻辑的典型例子。电话号码的拨号和号码锁的开启过程,则是时序逻辑的典型例子。数字逻辑在数字电路设计中有广泛的用途。

组合逻辑

组合逻辑的输出由其输入确定的法则,通常称为开关函数。因为变量是离散的,一个开关函数可以用表格形式的真值表来表示,也可以用各种图来表示。如果函数和变量是二进制的,符号“1”和“0”通常用来表示这两个值。这样的函数能用二元布尔代数表达式来描述,称开关函数。开关函数的二个数值,可以对应开和关,或继电器触点的闭合和开启;可以对应电子电路电压的高与低,或正和负;也可以对应逻辑真和假。

最简单的开关函数是“非“函数、“与“函数和“或“函数。“非”函数表示为布尔非:Y=,意即X为假,Y为真。“与”函数表示为布尔乘:Z=X·Y,意即仅当X·Y皆真,Z才真。“或”函数表示为布尔加:Z=X+Y,意即X或Y中任意一个为真,Z即为真。若用“1”表示逻辑“真”,“0”表示逻辑“假”,上述三个基本开关函数符合如下布尔代数法则:

=1 0·0=0 0+0=0

=0 0·1=0 0+1=1

成都地铁10号线=0  1+0=1

深圳地铁11号线=1  1+1=1

将这些基本逻辑单元加以组合,可以构成其他所有组合逻辑。这种逻辑可以根据输入变量的真、假组合来确定输出的真、假。因此,组合逻辑是一种决策逻辑,它可能十分简单,也可能异常复杂。这些决策是需要某些逻辑数学方面的知识,也需要使用某些工具,如真值表、布尔代数、符号逻辑和波形等。

教材版本

人民邮电

书 名 数字逻辑

丛 书 名 21世纪高等学校计算机规划教材——精品系列

标准书号 ISBN 978-7-115-24868-8

编目分类 TP302.2

作 者 王茜 黄仁 许光辰 编著

出版社人民邮电出版社

责任编辑 刘博

开 本 16 开

印 张 19

字 数 501 千字

页 数 296 页

装 帧 平装

版 次 第1版第1次

初版时间 2011年5月

本 印 次 2011年5月

首 印 数 -- 册

定 价 34.00 元

内容提要

本书从理论基础和实践出发,对数字系统的基础结构和现代设计方法与设计手段进行了深入浅出的论述,并选取作者在实际工程应用中的一些相关实例,来举例解释数字系统的设计方案。通过对基于VLSI和ULSI芯片的设计方法的介绍,阐述了现代基于芯片设计的数字系统设计的新思维和新方法,本书所提供的设计方法也可用作为理解复杂数字系统的设计基础。

全书共分7章,基本内容包括基础概念的建立;传统设计方法到现代设计方法的过渡,同时也是新技术、新方法的基础;简单介绍EDA技术概念;vhdl语言及数字系统功能模块设计;复杂数字系统设计应考虑的问题。由于复杂数字系统设计内容所描述的设计示例,渗入了实际工程中众多非功能设计需求,建议这部分内容在教学中可作为选学,由任课教师根据教学大纲来考虑本部分内容的教学。

本书可作为计算机及相关专业的教材,也可供相关科技人员的自学参考。

作者简介

王茜,博士,副教授,计算机学院副院长,兼任全国高等教育计算机教育研究会秘书长、重庆计算机学会教育与培训专业委员会主任。主要研究方向为计算机网络与通信,作为项目负责人或主研先后参加的科研项目有国家自然科学基金,国家“九五”攻关项目“远程教育管理技术,“十五”科技攻关项目“课件制作与智能答疑工具”,教育部现代远程教育工程项目“《计算机组成原理》网络课程”,教育部留学基金项目“电子商务应用技术研究”,重庆市科委项目“电子商务安全性研究” ,重庆市科委攻关“基于SPKI的安全多渠道电子支付系统研究”,以及国际合作、横向科研项目等近20项。在国际及全国性会议和杂志发表论文10多篇。

目录

第1章 基础概念 1

1.1 概述 1

1.2 基础知识 2

1.2.1 脉冲信号 2

1.2.2 半导体的导电特性 4

1.2.3 二极管开关特性 8

1.2.4 三极管开关特性 10

1.2.5 三极管3种连接方法 13

1.3 逻辑门电路 14

1.3.1 DTL门电路 15

1.3.2 TTL门电路 16

1.3.3 CML门电路 18

1.4 逻辑代数与基本逻辑运算 20

1.4.1 析取联结词与正“或”门电路 20

1.4.2 合取联结词与正“与”门电路 21

1.4.3 否定联结词与“非”门电路 22

1.4.4 复合逻辑门电路 22

1.4.5 双条件联结词与“同或”电路 24

1.4.6 不可兼或联结词与“异或”电路 24

1.5 触发器基本概念与分类 25

1.5.1 触发器与时钟 27

1.5.2 基本RS触发器 27

1.5.3 可控RS触发器 29

1.5.4 主从式JK触发器 31

1.5.5 D型触发器 34

1.5.6 T型触发器 37

习题 38

第2章 数字编码与逻辑代数 39

2.1 数字系统中的编码表示 39

2.1.1 原码、补码、反码 41

2.1.2 原码、反码、补码的运算举例 47

2.1.3 基于计算性质的几种常用二-十进制编码 48

2.1.4 基于传输性质的几种可靠性编码 51

2.2 逻辑代数基础与逻辑函数化简 57

2.2.1 逻辑代数的基本定理和规则 57

2.2.2 逻辑函数及逻辑函数的表示方式 59

2.2.3 逻辑函数的标准形式 62

2.2.4 利用基本定理简化逻辑函数 66

2.2.5 利用卡诺图简化逻辑函数 68

习题 74

第3章 数字系统基本概念 76

3.1 数字系统模型概述 76

3.1.1 组合逻辑模型 77

3.1.2 时序逻辑模型 77

3.2 组合逻辑模型结构的数字系统分析与设计 81

3.2.1 组合逻辑功能部件分析 81

3.2.2 组合逻辑功能部件设计 85

3.3 时序逻辑模型下的数字系统分析与设计 92

3.3.1 同步与异步 93

3.3.2 同步数字系统功能部件分析 94

3.3.3 同步数字系统功能部件设计 99

3.3.4 异步数字系统分析与设计 114

3.4 基于中规模集成电路(MSI)的数字系统设计 126

3.4.1 中规模集成电路设计方法 126

3.4.2 中规模集成电路设计举例 127

习题 138

第4章 可编程逻辑器件 142

4.1 可编程逻辑器件(PLD)演变 142

4.1.1 可编程逻辑器件(PLD) 144

4.1.2 可编程只读存储器(PROM) 146

4.1.3 现场可编程逻辑阵列(FPLA) 148

4.1.4 可编程阵列逻辑(PAL) 149

4.1.5 通用阵列逻辑(GAL) 152

4.2 可编程器件设计160

4.2.1 可编程器件开发工具演变 160

4.2.2 可编程器件设计过程与举例 160

4.3 两种常用的HDPLD可编程逻辑器件 164

4.3.1 按集成度分类的可编程逻辑器件 164

4.3.2 CPLD可编程器件 165

4.3.3 FPGA可编程器件 169

习题 173

第5章 VHDL基础 175

5.1 vhdl简介 175

5.2 VHDL程序结构 176

5.2.1 实体 176

5.2.2 结构体 180

5.2.3 程序包 183

5.2.4 库 184

5.2.5 配置 186

5.2.6 VHDL子程序 187

5.3 VHDL中结构体的描述方式 190

5.3.1 结构体的行为描述方式 190

5.3.2 结构体的数据流描述方式 192

5.3.3 结构体的结构描述方式 192

5.4 vhdl要素 195

5.4.1 VHDL文字规则 195

5.4.2 VHDL中的数据对象 196

5.4.3 VHDL中的数据类型 197

5.4.4 VHDL的运算操作符 201

5.4.5 VHDL的预定义属性 203

5.5 VHDL的顺序描述语句 205

5.5.1 wait等待语句 205

5.5.2 赋值语句 206

5.5.3 转向控制语句 207

5.5.4 空语句 212

5.6 vhdl的并行描述语句 212

5.6.1 并行信号赋值语句 212

5.6.2 块语句 217

5.6.3 进程语句 217

5.6.4 生成语句 219

5.6.5 元件例化语句 221

5.6.6 时间延迟语句 222

习题 223

第6章 数字系统功能模块设计 255

6.1 数字系统功能模块 225

6.1.1 功能模块概念 225

6.1.2 功能模块外特性及设计过程 226

6.2 基于组合逻辑模型下的vhdl设计 226

6.2.1 基本逻辑门电路设计 226

6.2.2 比较器设计 229

6.2.3 代码转换器设计 231

6.2.4 多路选择器与多路分配器设计 232

6.2.5 运算类功能部件设计 233

6.2.6 译码器设计 237

6.2.7 总线隔离器设计 238

6.3 基于时序逻辑模型下的VHDL设计 240

6.3.1 寄存器设计 240

6.3.2 计数器设计 242

6.3.3 并/串转换器设计 245

6.3.4 串/并转换器设计 246

6.3.5 七段数字显示器(LED)原理分析与设计 247

6.4 复杂数字系统设计举例 250

6.4.1 高速传输通道设计 250

6.4.2 多处理机共享数据保护锁设计 257

习题 265

第7章 系统集成 266

7.1 系统集成基础知识 266

7.1.1 系统集成概念 266

7.1.2 系统层次结构模式 268

7.1.3 系统集成步骤 269

7.2 系统集成规范 271

7.2.1 基于总线方式的互连结构 271

7.2.2 路由协议 276

7.2.3 系统安全规范与防御 281

7.2.4 时间同步 283

7.3 数字系统的非功能设计 286

7.3.1 数字系统中信号传输竞争与险象 286

7.3.2 故障注入 288

7.3.3 数字系统测试 290

7.3.4 低能耗系统与多时钟技术 292

习题 295

王春露著

书 名

数字逻辑

作 者:王春露孙丹丹

出版社:清华大学出版社

出版时间: 2010年02月

ISBN: 9787302214601

开本: 16开

定价: 23.80 元

内容简介

《数字逻辑》主要介绍数字逻辑电路和数字系统的基础理论和方法。书中系统地阐述了数制与编码、逻辑代数基础、组合逻辑电路的分析与设计、时序逻辑电路的分析与设计、可编程逻辑器件、vhdl硬件描述语言以及数字系统的分析与设计。《数字逻辑》可作为计算机、电子、通信及自动化等专业的本科生教材,也可供相关领域的工程技术人员参考。

作者介绍

王春露,1969年出生,毕业于哈尔滨工业大学计算机系,现为北京邮电大学计算机学院副教授、硕士生导师,北京邮电大学服务科学与智能交通技术研究中心主任。长期从事“数字逻辑”课程的教学工作,积累了丰富的教学资源,形成比较成熟的课程体系。长期从事相关领域科研工作,主要研究方向为计算机网络、信息安全、智能交通。在工程和科学实践中,主持完成了多项国家级、省部级项目。作为负责人主持的国家级项网主要有国家科技支撑计划重大专项项目1项、国家自然科学基金项目1项,发表高水平科技论文40余篇,编著《数字逻辑题解》、《计算机组成原理》、《数字逻辑与数字系统》、《计算机组织与结构》等多本教材。

图书目录

第1章数字逻辑基础

第2章 组合逻辑电路

第3章 触发器

第4章 时序电路

第5章 可编程逻辑器件

第6章 硬件描述语言vhdl简介

第7章 现代数字系统设计

附录 第二套扫描码

参考文献

……

宋锦河著

书 名

: 数字逻辑(面向21世纪高职高专计算机类 专业新编系列教材)

作 者:宋锦河

出版社:武汉理工大学出版社

出版时间: 2004

ISBN: 9787562921271

开本: 16

定价: 20.00 元

内容介绍

《数字逻辑》是依据教育部制定的《高职高专教育数字电子技术基础课程教学基本要求》编写的。

全书共分8章。主要内容包括:数字电路基础,门电路,逻辑代数基础,基本组合逻辑电路,触发器,时序逻辑电路,脉冲产生与变换电路,数/模和模/数转换器。?

《数字逻辑》内容广博,语言浅显,结构清晰,实例丰富,注重“讲、学、做”统一协调,便于学生自学。《数字逻辑》除可供高职高专及成人教育计算机、电力、电子、通信及自动化等专业作为教材外,还可供有关技术人员阅读参考。

书籍目录

1数字电路基础

1.1几种常用数制及转换

1.1.1几种常用数制

1.1.2不同数制间的转换

1.2二进制数的算术运算

1.2.1二进制加法

1.2.2二进制减法

1.2.3二进制乘法

1.2.4二进制除法

1.3晶体管的开关特性

1.3.1二极管的开关特性

1.3.2三极管的开关特性

1.4反相器

1.4.1电路组成

1.4.2工作原理

1.4.3带负载能力

1.4.4抗干扰能力

1.4.5动态特性

本章小结

习题1

2门电路

2.1分立元件门电路

2.1.1与门

2.1.2或门

2.1.3非门

2.1.4与非门和或非门

2.2集成TTL门电路

2.2.1TTL与非门电路及工作原理

2.2.2TTL与非门的电气特性

2.2.3TTL与非门的改进型电路

2.2.4常用TTL与非门的器件类型和主要技术指标

2.2.5其他类型的TTL门电路

2.3其他双极型门电路

2.3.1高阈值集成电路(HTL电路)

2.3.2射极耦合逻辑电路(ECL电路)

2.4MOS门电路

2.4.1MOS反相器电路及工作原理

2.4.2CMOS反相器的电气特性

2.4.3常用CMOS反相器的型号和主要技术指标

2.4.4CMOS传输门和模拟开关

2.4.5CMOS与非门、或非门和三态门

本章小结

习题2

3逻辑代数基础

3.1逻辑变量和逻辑函数

3.2常用的公式和定理

3.2.1与运算

3.2.2或运算

3.2.3非运算

3.2.4摩根定理

3.3逻辑函数的表示方法

3.3.1真值表

3.3.2逻辑表达式

3.3.3逻辑图

3.4逻辑函数的化简

3.4.1最简的概念

3.4.2公式化简法

3.4.3卡诺图化简法

3.4.4最简与或式转换为最简与非与非式和最简或非或非式

3.4.5具有约束的逻辑函数的化简

本章小结

习题3

4基本组合逻辑电路

4.1组合逻辑电路分析方法

4.2组合逻辑电路的设计

4.3常用中规模组合逻辑电路及其应用

4.3.1编译器

4.3.2码制变换译码器

4.3.3数据选择器

4.3.4编码器

4.3.5数字比较器

4.3.6加法器

本章小结

习题4

5触发器

5.1概述

5.2基本RS触发器

5.2.1电路组成

5.2.2工作原理

5.2.3功能描述

5.3同步触发器

5.3.1同步RS触发器

5.3.2同步D触发器

5.3.3同步JK触发器

5.3.4同步触发器的空翻和振荡现象

5.4主从触发器

5.4.1主从RS触发器

5.4.2主从JK触发器

5.4.3主从T触发器

5.4.4主从触发器的一次翻转现象

5.5边沿触发器

5.5.1负边沿JK触发器

5.5.2维持阻塞D触发器

5.6不同类型触发器间的相互转换

5.6.1JK触发器转换为RS、D和T触发器

5.6.2D触发器转换为JK、T和RS触发器

本章小结

习题5

6时序逻辑电路

6.1概述

6.2寄存器

6.2.1数码寄存器

6.2.2移位寄存器

6.3集成芯片74194的应用

6.3.174194的逻辑功能

6.3.2数字式彩灯控制器

6.4计数器

6.4.1异步计数器

6.4.2同步计数器

6.574163的应用

6.5.174163的逻辑功能

6.5.2用74163构成2~16进制加法计数器

6.5.3用74163构成10进制余3码加法计数器

6.5.4用74163构成17~256进制加法计数器

本章小结

习题6

7脉冲产生与变换电路

7.1555定时器

7.1.1电路组成

7.1.2工作原理

7.1.3基本功能

7.2施密特触发器

7.2.1电路组成

7.2.2工作原理

7.2.3应用举例

7.3单稳态触发器

7.3.1电路组成

7.3.2工作原理

7.3.3暂稳状态时间(输出脉冲宽度)

7.3.4应用举例

7.4多谐振荡器

7.4.1电路组成

7.4.2工作原理

7.4.3振荡周期

7.4.4应用举例

本章小结

习题7

8数/模和模/数转换器

8.1D/A转换器

8.1.1T型电阻D/A转换器

8.1.2D/A转换器的主要技术参数

8.1.3D/A转换器应用电路

8.2A/D转换器

8.2.1A/D转换的过程

8.2.2逐次逼近型A/D转换器

8.2.3A/D转换的主要技术参数

8.2.4A/D转换器应用电路

本章小结

习题8

参考文献

朱勇图书

基本信息

书名:数字逻辑

书号:7-113-07915

作者:朱勇 等

定价:32.00元

出版日期:2007年12月

获奖信息:普通高等教育“十一五”国家级规划教材

配套教材:数字逻辑习题解答与实验指导

出版单位:中国铁道出版社有限公司

简介

本教材根据普通高等学校计算机专业教学大纲精神,以及数字电路与逻辑设计课程的特点编写而成,全面系统地阐述了数字电路与逻辑设计的基本理论、基本概念、基本方法以及现代逻辑设计技术。全书共分9章:数制与编码、逻辑代数基础、组合逻辑、同步时序逻辑、异步时序逻辑、脉冲产生电路、数/模与模/数转换电路、编程逻辑及EDA设计。本教材的编者是长期从事高校数字逻辑课程教学的骨干教师,并有丰富的数字系统设计经验与相关项目工程背景。教材中不仅对经典逻辑理论作了详细地论述,同时也考虑到当今数字电路与逻辑设计的发展趋势,介绍了当今先进的逻辑设计方法与技术,如PLD(可编程逻辑器件)、HDL(硬件描述语言)、SoC(片上系统)、EDA(电子设计自动化)技术等。理论紧密联系实践。

书目录

第1章 数制与编码

第2章 逻辑代数基础

第3章 组合逻辑

第4章 同步时序逻辑

第5章 异步时序逻辑

第6章 脉冲产生电路

第7章 数/模与模/数转换电路

第8章 编程逻辑

第9章 EDA设计

附录A 逻辑符号对照表

参考文献

何火娇著

基本资料

书名:数字逻辑

作者:何火娇 主编 任力生 姚传安 副主编

书号:978-7-113-11706

出版社:中国铁道出版社有限公司

出版时间:2010年8月

定价:25.00

内容摘要

全书共分为9章,主要内容有数字电路基础、逻辑运算门电路、逻辑代数和逻辑函数化简、组合逻辑电路、触发器、时序逻辑电路、半导体存储器和可编程逻辑器件、数/模、模/数转换和数字系统设计等。“数字逻辑”课程是电气信息类专业学生的专业基础课程。本书精选教学内容,突出数字电路的分析方法和数字集成逻辑器件功能分析,具有重点突出、叙述通俗和实用的特点;并从学生自学的角度出发,把每节的重点教学内容精心设计成思考题,以帮助学生掌握本节的教学内容,培养学生的学习能力。书中还配有大量例题和习题供学生学习与训练。本书适合作为计算机专业本科生“数字逻辑”课程的教材,也可作为电气信息类其他相关专业的教材。

章节目录

第1章 数字电路基础

第2章 逻辑运算门电路

第3章 逻辑代数和逻辑函数化简

第4章 组合逻辑电路

第5章 触发器

第6章 时序逻辑电路

第7章 半导体存储器和可编程逻辑器件

第8章 数/模和/转换电路

第9章 数字系统设计

附录A 部分思考题及习题答案

参考文献

参考资料

免责声明
隐私政策
用户协议
目录 22
0{{catalogNumber[index]}}. {{item.title}}
{{item.title}}
友情链接: